Quartus II 使用方法及编译过程

Quartus II 是大规模集成电路中硬件描述语言的编译仿真工具,其早期版本是Max Plus II。Quartus II 比早期版本功能更加的全面,界面也更加的友好,受到广大电子开发企业与个人的喜好。

Quartus II 使用方法及编译过程

操作方法

(01)首先,打开Quartus II 应用程序,并选择创建新工程按钮。

Quartus II 使用方法及编译过程 第2张

(02)这一步骤不需要修改任何参数,直接下一步即可。

Quartus II 使用方法及编译过程 第3张

(03)修改我们的保存路径,以及工程名称。注:工程名称不能任意的命名,要和程序当中的实体名保持一致,下面的步骤中会提到什么是实体名。

Quartus II 使用方法及编译过程 第4张

(04)输入文件名,也要和实体名保持一致。输入后点击Add按钮,然后选中我们加进去的文件名,下一步即可。

Quartus II 使用方法及编译过程 第5张

(05)这一步需要我们选择器件,在Family框中选择系列,然后具体选择哪一个器件。

Quartus II 使用方法及编译过程 第6张

(06)到了这一步骤,工程基本就建好了,直接点击完成按钮就是了。

Quartus II 使用方法及编译过程 第7张

(07)选择文件新建,选中VHDL file,然后点击OK。

Quartus II 使用方法及编译过程 第8张

(08)在程序输入界面,输入我们要编译的程序。注:这里来说一下什么是实体名,图中画蓝线的部分,是该程序必不可少的,而画红线的就是实体名,实体名是我们自己命名的,但一定要记得实体名和工程名以及文件名保持一致。

Quartus II 使用方法及编译过程 第9张

(09)输入完程序后,点击保存按钮。

Quartus II 使用方法及编译过程 第10张

(10)保存好后,就可以点击图中鼠标所指的编译按钮了。

Quartus II 使用方法及编译过程 第11张

(11)若编译通过,就会显示如下图所示。

Quartus II 使用方法及编译过程 第12张

(12)若编译没通过,则会显示红色的叉。

Quartus II 使用方法及编译过程 第13张

特别提示

一定要保证实体名和文件名、工程名保持一致,否则编译不会通过。

输入的程序要遵循VHDL文件的规则